Atomic-scale strain analysis for advanced Si/SiGe heterostructure by using transmission electron microscopy
Lan Li, Ran Bi, Zuoyuan Dong, Changqing Ye, Jing Xie, Chaolun Wang, Xiaomei Li, Kin-Leong Pey, Ming Li, Xing Wu
Atomic-scale strain analysis for advanced Si/SiGe heterostructure by using transmission electron microscopy
Three-dimensional stacked transistors based on Si/SiGe heterojunction are a potential candidate for future low-power and high-performance computing in integrated circuits. Observing and accurately measuring strain in Si/SiGe heterojunctions is critical to increasing carrier mobility and improving device performance. Transmission electron microscopy (TEM) with high spatial resolution and analytical capabilities provides technical support for atomic-scale strain measurement and promotes significant progress in strain mapping technology. This paper reviews atomic-scale strain analysis for advanced Si/SiGe heterostructure based on TEM techniques. Convergent-beam electron diffraction, nano-beam electron diffraction, dark-field electron holography, and high-resolution TEM with geometrical phase analysis, are comprehensively discussed in terms of spatial resolution, strain precision, field of view, reference position, and data processing. Also, the advantages and critical issues of these strain analysis methods based on the TEM technique are summarized, and the future direction of TEM techniques in the related areas is prospected.
GPA / heterostructure / Si/SiGe / strain / transmission electron microscopy
[1] |
Chakrabarti B, Lastras-Montañ MA, Adam G, et al. A multiply-add engine with monolithically integrated 3D memristor crossbar/CMOS hybrid circuit. Sci Rep. 2017;7(1):42429.
CrossRef
Google scholar
|
[2] |
Dong Z, Guo J. A simple model of negative capacitance FET with electrostatic short channel effects. IEEE Trans Electron Dev. 2017;64(7):2927-2934.
CrossRef
Google scholar
|
[3] |
Li W, Ning H, Yu Z, Shi Y, Wang X. Reducing the power consumption of two-dimensional logic transistors. J Semiconduct. 2019;40(9):091002.
CrossRef
Google scholar
|
[4] |
Cao W, Bu H, Vinet M, et al. The future transistors. Nature. 2020;620(7974):501-515.
CrossRef
Google scholar
|
[5] |
Fan C, Cheng X, Xu L, et al. Monolithic three-dimensional integration of aligned carbon nanotube transistors for highperformance integrated circuits. InfoMat. 2023;5(7):e12420.
CrossRef
Google scholar
|
[6] |
Diaz C, Goto K, Huang H, et al. 32nm Gate-First High-K/metal-Gate Technology for High Performance Low Power Applications. IEEE International Electron Devices Meeting;2008:1-4.
|
[7] |
Jan C.-H, Agostinelli M, Deshpande H, et al. RF CMOS Technology Scaling in High-K/metal Gate Era for RF SoC (System-on-chip) Applications. International Electron Devices Meeting;2010:27.2.1-27.2.4.
|
[8] |
Chan V, Rim K, Ieong M, et al. Strain for CMOS performance improvement. In: Proceedings of the IEEE 2005 Custom Integrated Circuits Conference;2005:667-674.
|
[9] |
Chidambaram P, Bowen C, Chakravarthi S, Machala C, Wise R. Fundamentals of silicon material properties for successful exploitation of strain engineering in modern CMOS manufacturing. IEEE Trans Electron Dev. 2006;53(5):944-964.
CrossRef
Google scholar
|
[10] |
Hsu L-H, Lai Y-Y, Tu P-T, et al. Development of GaN HEMTs fabricated on silicon, silicon-on-insulator, and engineered substrates and the heterogeneous integration. Micromachines. 2021;12(10):1159.
CrossRef
Google scholar
|
[11] |
Wang H, Sun L, He Y, et al. Asymmetric topological valley edge states on silicon-on-insulator platform. Laser Photon Rev. 2022;16(6).
CrossRef
Google scholar
|
[12] |
Anderson J, He Y, Bahr B, Weinstein D. Integrated acoustic resonators in commercial fin field-effect transistor technology. Nature Electron. 2022;5(9):611-619.
CrossRef
Google scholar
|
[13] |
Yin X, Zhang Y, Zhu H, et al. Vertical sandwich gate-all-around field-effect transistors with self-aligned high-k metal gates and small effective-gate-length variation. IEEE Electron Device Lett. 2020;41(1):8-11. https://doi.org/10.1109/LED.2019.2954537
|
[14] |
Bhol K, Jena B, Nanda U. Silicon nanowire GAA-MOSFET: a workhorse in nanotechnology for future semiconductor devices. Silicon. 2022;14(7):3163-3171.
CrossRef
Google scholar
|
[15] |
Luo Y, Zhang Q, Cao L, et al. Investigation of novel hybrid channel complementary FET scaling beyond 3-nm node from device to circuit. IEEE Trans Electron Dev. 2022;69(7):3581-3588.
CrossRef
Google scholar
|
[16] |
Ryckaert J, Schuddinck P, Weckx P, et al. The Complementary FET (CFET) for CMOS scaling beyond N3. In: 2018 IEEE Symposium on VLSI Technology. IEEE;2018:141-142. https://doi.org/10.1109/vlsit.2018.8510618
|
[17] |
Zhang J, Gao F, Hu P. A vertical transistor with a sub-1-nm channel. Nature Electron. 2021;4(5):325-325.
CrossRef
Google scholar
|
[18] |
Xiao Z, Liu L, Chen Y, et al. High-density vertical transistors with pitch size down to 20 nm. Adv Sci. 2023;10(29):2302760.
CrossRef
Google scholar
|
[19] |
Mertens H, Ritzenthaler R, Pena V, et al. Vertically Stacked Gate-All-Around Si Nanowire Transistors: Key Process Optimizations and Ring Oscillator Demonstration. IEEE International Electron Devices Meeting;2017:37.4.1-37.4.4.
|
[20] |
Parikh P, Jaware D, Zhu J. 3D nanoscale imaging of semiconductor films for GAA (gate all around) device development. Microsc Microanal. 2022;28(S1):318-319.
CrossRef
Google scholar
|
[21] |
Kim Y, Noh H, Paulsen BD, et al. Strain-engineering induced anisotropic crystallite orientation and maximized carrier mobility for high-performance microfiber-based organic bioelectronic devices. Adv Mater. 2021;33(10):2007550.
CrossRef
Google scholar
|
[22] |
Yang L, Watling JR, Wilkins RC, et al. Si/SiGe heterostructure parameters for device simulations. Semicond Sci Technol. 2004;19(10):1174-1182.
CrossRef
Google scholar
|
[23] |
Lee ML, Fitzgerald EA, Bulsara MT, Currie MT, Lochtefeld A. Strained Si, SiGe, and Ge channels for high-mobility metaloxide-semiconductor field-effect transistors. J Appl Phys. 2005;97(1).
CrossRef
Google scholar
|
[24] |
Weiss NO, Zhou H, Liao L, et al. Graphene: an emerging electronic material. Adv Mater. 2012;24(43):5782-5825.
CrossRef
Google scholar
|
[25] |
Radamson HH, Zhu H, Wu Z, et al. State of the art and future perspectives in advanced CMOS technology. Nanomaterials. 2020;10(8):1555.
CrossRef
Google scholar
|
[26] |
Masadeh A, Božin E, Farrow C, et al. Quantitative sizedependent structure and strain determination of CdSe nanoparticles using atomic pair distribution function analysis. Phys Rev B. 2007;76(11):115413.
CrossRef
Google scholar
|
[27] |
Robinson I, Harder R. Coherent X-ray diffraction imaging of strain at the nanoscale. Nat Mater. 2009;8(4):291-298.
CrossRef
Google scholar
|
[28] |
Hung PY, Kasper N, Nadeau J, Ok I, Hobbs C, Vigliante A. Application of inline high resolution X-ray diffraction in monitoring Si/SiGe and conventional Si in SOI fin-shaped field effect transistor processes. J Vac Sci Technol B. 2012;30(4):041211.
CrossRef
Google scholar
|
[29] |
Schulze A, Loo R, Witters L, et al. Strain and compositional analysis of (Si) Ge fin structures using high resolution X-Ray diffraction. Phys Status Solidi C. 2017;14(12):1700156.
CrossRef
Google scholar
|
[30] |
Perova T, Wasyluk J, Lyutovich K, et al. Composition and strain in thin Si1-xGex virtual substrates measured by micro-Raman spectroscopy and X-ray diffraction. J Appl Phys. 2011;109(3).
CrossRef
Google scholar
|
[31] |
Qiu W, Li Q, Lei Z-K, Qin QH, Deng WL, Kang YL. The use of a carbon nanotube sensor for measuring strain by micro-Raman spectroscopy. Carbon. 2013;53:161-168.
CrossRef
Google scholar
|
[32] |
Ma L, Qiu W, Fan X. Stress/strain characterization in electronic packaging by micro-Raman spectroscopy: a review. Microelectron Reliab. 2021;118:114045.
CrossRef
Google scholar
|
[33] |
Pelz PM, Griffin SM, Stonemeyer S, et al. Solving complex nanostructures with ptychographic atomic electron tomography. Nat Commun. 2023;14(1):7906. https://doi.org/10.1038/s41467-023-43634-z
|
[34] |
Anderson N, Anger P, Hartschuh A, Novotny L. Subsurface Raman imaging with nanoscale resolution. Nano Lett. 2006;6(4):744-749.
CrossRef
Google scholar
|
[35] |
Miao J, Ercius P, Billinge SJ. Atomic electron tomography:3D structures without crystals. Science. 2016;353(6306):aaF2157.
CrossRef
Google scholar
|
[36] |
Luo C, Wang C, Wu X, Zhang J, Chu J. In Situ transmission electron microscopy characterization and manipulation of two-dimensional layered materials beyond graphene. Small. 2017;13(35):1604259.
CrossRef
Google scholar
|
[37] |
Hoang VV, Cho YJ, Yoo JH, et al. 2D strain measurement in sub-10 nm SiGe layer with dark-field electron holography. Curr Appl Phys. 2015;15(11):1529-1533.
CrossRef
Google scholar
|
[38] |
Boureau V, Durand A, Gergaud P, et al. Dark-field electron holography as a recording of crystal diffraction in real space: a comparative study with high-resolution X-ray diffraction for strain analysis of MOSFETs. J Appl Crystallogr. 2020;53(4):885-895.
CrossRef
Google scholar
|
[39] |
Li J, Domenicucci A, Chidambarrao D, et al. Stress and strain measurements in semiconductor device channel areas by convergent beam electron diffraction. MRS Proc. 2006;913(1):503.
CrossRef
Google scholar
|
[40] |
Mogili NVV, Tanner DA, Nakahara S. An analysis of germanium-silicon/silicon strained superlattice structure using convergent beam electron diffraction. Strain. 2016;52(2):162-171.
CrossRef
Google scholar
|
[41] |
Choi YS, Lian G, Vartuli C, et al. Layout variatione effects in advanced MOSFETs: STI-induced embedded SiGe strain relaxation and dual-stress-liner boundary proximity effect. IEEE Trans Electron Dev. 2010;57(11):2886-2891.
CrossRef
Google scholar
|
[42] |
Favia P, Richard O, Eneman G, et al. TEM investigations of gate-all-around nanowire devices. Semicond Sci Technol. 2019;34(12):124003.
CrossRef
Google scholar
|
[43] |
Reboh S, Coquand R, Loubet N, et al. Imaging, Modeling and Engineering of Strain in Gate-All-Around Nanosheet Transitors. IEEE International Electron Devices Meeting;2019:11.5.1-11.5.4.
|
[44] |
Li J, Mochizuki S, Stuckert E, et al. Precession electron diffraction (PED) strain characterization in stacked nanosheet FET structure. In: Proceedings of the ISTFA 2022 ISTFA 2022: Conference Proceedings from the 48th International Symposium for Testing and Failure Analysis. ASM;2022:74-77.
CrossRef
Google scholar
|
[45] |
Huee F, Hytch M, Bender H, Houdellier F, Claverie A. Direct mapping of strain in a strained silicon transistor by highresolution electron microscopy. Phys Rev Lett. 2008;100(15):156602.
CrossRef
Google scholar
|
[46] |
Glicksman M. Magnetoresistance of germanium-silicon alloys. Phys Rev. 1955;100(4):1146-1147.
CrossRef
Google scholar
|
[47] |
Paul DJ. Si/SiGe heterostructures: from material and physics to devices and circuits. Semicond Sci Technol. 2004;19(10): r75-r108.
CrossRef
Google scholar
|
[48] |
De Boer W, Meyer D. Low-temperature chemical vapor deposition of epitaxial Si and SiGe layers at atmospheric pressure. Appl Phys Lett. 1991;58(12):1286-1288.
CrossRef
Google scholar
|
[49] |
Larsen AN. Epitaxial growth of Ge and SiGe on Si substrates. Mat Sci Semicond Process. 2006;9(4-5):454-459.
CrossRef
Google scholar
|
[50] |
Shah V, Dobbie A, Myronov M, Fulgoni DJF, Nash LJ, Leadley DR. Reverse graded relaxed buffers for high Ge content SiGe virtual substrates. Appl Phys Lett. 2008;93(19).
CrossRef
Google scholar
|
[51] |
Myronov M, Liu X-C, Dobbie A, Leadley D. Control of epilayer thickness during epitaxial growth of high Ge content strained Ge/SiGe multilayers by RP-CVD. J Cryst Growth. 2011;318(1):337-340.
CrossRef
Google scholar
|
[52] |
Kasper E, Herzog H, Kibbel H. A one-dimensional SiGe superlattice grown by UHV epitaxy. Appl Phys. 1975;8(3):199-205.
CrossRef
Google scholar
|
[53] |
Ribot P, Monfray S, Skotnicki T, Dutartre D. Selective SiGe epitaxy by rtcvd for new device architectures. Mat Sci Eng, B. 2002;89(1-3):125-128.
CrossRef
Google scholar
|
[54] |
Adam TN, Bedell S, Reznicek A, et al. Low-temperature epitaxial Si, SiGe, and SiC in a 300mm UHV/CVD reactor. ECS Trans. 2010;33(6):149-154.
CrossRef
Google scholar
|
[55] |
Babcock JA, Cressler JD, Vempati LS, Clark S, Jaeger R, Harame D. Ionizing radiation tolerance of high-performance SiGe HBT’s grown by UHV/CVD. IEEE Trans Nucl Sci. 1995;42(6):1558-1566.
CrossRef
Google scholar
|
[56] |
Byeon D.-S, Cho C, Yoon D, et al. Epitaxial growth of Si and SiGe using high-order silanes without a carrier gas at low temperatures via UHVCVD and LPCVD. Coatings. 2021;11(5):568.
CrossRef
Google scholar
|
[57] |
Schwinge C, Kühnel K, Emara J, et al. Optimization of LPCVD phosphorous-doped SiGe thin films for CMOS-compatible thermoelectric applications. Appl Phys Lett. 2022;120(3).
CrossRef
Google scholar
|
[58] |
Li Y, Zhao F, Cheng X, et al. Four-period vertically stacked SiGe/Si channel FinFET fabrication and its electrical characteristics. Nanomaterials. 2021;11(7):1689.
CrossRef
Google scholar
|
[59] |
Hao A, Zhang L, Gao Z, Zhu Y, Riping L. First-principles study of structural stability and elastic properties of the ordered Si0.5Ge0.5 alloy under high pressure. Phys Status Solidi B. 2011;248(5):1135-1138.
CrossRef
Google scholar
|
[60] |
Kasper E, Herzog H.-J. Silicon-Germanium (SiGe) Nanostructures. Elsevier;2011.
|
[61] |
Geisler H, Weisheit M, Hofmann P, Engelmann H. The challenge of measuring strain in FDSOI device structures-HRXRD as a potential method of resolution. Adv Eng Mater. 2017;19(8).
CrossRef
Google scholar
|
[62] |
Ye H, Yu J. Germanium epitaxy on silicon. Sci Technol Adv Mater. 2014;15(2):024601.
CrossRef
Google scholar
|
[63] |
Dunstan DJ, Young S, Dixon RH. Geometrical theory of critical thickness and relaxation in strained-layer growth. J Appl Phys. 1991;70(6):3038-3045.
CrossRef
Google scholar
|
[64] |
Persson S, Fjer M, Escobedo-Cousin E, et al. Strained-silicon heterojunction bipolar transistor. IEEE Trans Electron Dev. 2010;57(6):1243-1252.
CrossRef
Google scholar
|
[65] |
Fischer G, Sasso G. Ageing and thermal recovery of advanced SiGe heterojunction bipolar transistors under long-term mixed-mode and reverse stress conditions. Microelectron Reliab. 2015;55(3-4):498-507.
CrossRef
Google scholar
|
[66] |
Asthana PK, Goswami Y, Basak S, Rahi SB, Ghosh B. Improved performance of a junctionless tunnel field effect transistor with a Si and SiGe heterostructure for ultra low power applications. RSC Adv. 2015;5(60):48779-48785.
CrossRef
Google scholar
|
[67] |
Kanungo S, Chattopadhyay S, Gupta PS, Sinha K, Rahaman H. Study and analysis of the effects of SiGe source and pocket-doped channel on sensing performance of dielectrically modulated tunnel FET-based biosensors. IEEE Trans Electron Dev. 2016;63(6):2589-2596.
CrossRef
Google scholar
|
[68] |
Durmaz H, Sookchoo P, Cui X, et al. SiGe nanomembrane quantum-well infrared photodetectors. ACS Photonics. 2016;3(10):1978-1985.
CrossRef
Google scholar
|
[69] |
Zhang S, Zhang T, Liu Z, et al. Flexible and robust 3D a-SiGe radial junction near-infrared photodetectors for rapid sphygmic signal monitoring. Adv Funct Mater. 2022;32(2):2107040.
CrossRef
Google scholar
|
[70] |
Liao MH. High-efficient Si nanotextured light-emitting diodes and solar cells with obvious photonic crystal effect. IEEE Trans Nanotechnol. 2010;10(4):774-777.
CrossRef
Google scholar
|
[71] |
Lockwood DJ, Wu X, Baribeau J.-M, Mala SA, Wang X, Tsybeskov L. Si/SiGe heterointerfaces in one-two-and threedimensional nanostructures: their impact on SiGe light emission. ECS Trans. 2016;75(1):77-96.
CrossRef
Google scholar
|
[72] |
Ramesh A, Berger PR, Loo R. High 5.2 peak-to-valley current ratio in Si/SiGe resonant interband tunnel diodes grown by chemical vapor deposition. Appl Phys Lett. 2012;100(9).
CrossRef
Google scholar
|
[73] |
Ternent G, Paul DJ. SPICE modeling of the scaling of resonant tunneling diodes and the effects of sidewall leakage. IEEE Trans Electron Dev. 2012;59(12):3555-3560.
CrossRef
Google scholar
|
[74] |
Yang N, Deng Y, Zhao S, Song Y, Huang J, Wu N. Mechanical metamaterials with discontinuous and tension/compressiondependent positive/negative Poisson’s ratio. Adv Eng Mater. 2022;24(3):2100787.
CrossRef
Google scholar
|
[75] |
Katashima T, Urayama K, Chung U-i, Sakai T. Strain energy density function of a near-ideal polymer network estimated by biaxial deformation of Tetra-PEG gel. Soft Matter. 2012;8(31):8217-8222.
CrossRef
Google scholar
|
[76] |
Hÿtch MJ, Minor AM. Observing and measuring strain in nanostructures and devices with transmission electron microscopy. MRS Bull. 2014;39(2):138-146.
CrossRef
Google scholar
|
[77] |
Mohapatra NR, Desai MP, Narendra SG, Rao V. The effect of high-k gate dielectrics on deep submicrometer CMOS device and circuit performance. IEEE Trans Electron Dev. 2002;49(5):826-831.
CrossRef
Google scholar
|
[78] |
Shauly EN, Rosenthal S. Coverage layout design rules and insertion utilities for CMP-related processes. J Low Power Electron Appl. 2020;11(1):2.
CrossRef
Google scholar
|
[79] |
Cherns D, Touaitia R, Preston AR, Rossouw CJ, Houghton DC. Convergent beam electron diffraction studies of strain in Si/SiGe superlattices. Philos Mag A. 1991;64(3):597-612.
CrossRef
Google scholar
|
[80] |
Eggeler Y, Müller J, Titus M, et al. Planar defect formation in the γ’ phase during high temperature creep in single crystal CoNi-base superalloys. Acta Mater. 2016;113:335-349.
CrossRef
Google scholar
|
[81] |
Béché A, Rouvière J, Barnes J, et al. Strain measurement at the nanoscale: comparison between convergent beam electron diffraction, nano-beam electron diffraction, high resolution imaging and dark field electron holography. Ultramicroscopy. 2013;131:10-23.
CrossRef
Google scholar
|
[82] |
Kräer S, Mayer J, Witt C, et al. Analysis of local strain in aluminium interconnects by energy filtered CBED. Ultramicroscopy. 2000;81(3-4):245-262.
CrossRef
Google scholar
|
[83] |
Toda A, Ikarashi N, Ono H. Local lattice strain measurements in semiconductor devices by using convergent-beam electron diffraction. J Cryst Growth. 2000;210(1-3):341-345.
CrossRef
Google scholar
|
[84] |
Tanaka M, Terauchi M, Hiraga K, et al. Convergent-beam and small-area-parallel-beam electron diffraction of icosahedral quasicrystals of a melt-quenched Al-Mn alloy. Ultramicroscopy. 1985;17(4):279-285.
CrossRef
Google scholar
|
[85] |
Latychevskaia T, Woods CR, Wang YB, et al. Convergent and divergent beam electron holography and reconstruction of adsorbates on free-standing two-dimensional crystals. Front Physiol. 2019;14:1-15.
CrossRef
Google scholar
|
[86] |
Jones P, Rackham G, Steeds JW. Higher order Laue zone effects in electron diffraction and their use in lattice parameter determination. Proc R Soc London, A. 1977;354(1677):197-222.
CrossRef
Google scholar
|
[87] |
Kelly P, Jostsons A, Blake R, et al. The determination of foil thickness by scanning transmission electron microscopy. Phys Status Solidi. 1975;31(2):771-780.
CrossRef
Google scholar
|
[88] |
Clément L, Pantel R, Kwakman L, et al. Strain measurements by convergent-beam electron diffraction: the importance of stress relaxation in lamella preparations. Appl Phys Lett. 2004;85(4):651-653.
CrossRef
Google scholar
|
[89] |
Carpenter R, Spence J. Three-dimensional strain-field information in convergent-beam electron diffraction patterns. Acta Crystallogr. 1982;38(1):55-61.
CrossRef
Google scholar
|
[90] |
Houdellier F, Roucau C, Clément L, et al. Quantitative analysis of HOLZ line splitting in CBED patterns of epitaxially strained layers. Ultramicroscopy. 2006;106(10):951-959.
CrossRef
Google scholar
|
[91] |
Zhang P, Istratov AA, Weber ER, et al. Direct strain measurement in a 65nm node strained silicon transistor by convergent-beam electron diffraction. Appl Phys Lett. 2006;89(16):161907.
CrossRef
Google scholar
|
[92] |
Tanaka M, Saito R, Ueno K, et al. Large-angle convergentbeam electron diffraction. J Electron Microsc Tech. 1980;29(4):408-412. https://doi.org/10.1093/oxfordjournals.jmicro.a050262
|
[93] |
Toh SL, Li K, Ang CH, et al. Evaluation of the Strain State in SiGe/Si Heterostructures by High Resolution X-Ray Diffraction and Convergent Beam Electron Diffraction. International Symposium on Physical & Failure Analysis of Integrated Circuits; 2005
|
[94] |
Zhao W, Duscher G, Rozgonyi G. Local strain measurement on strained Si/SiGe heterostructures using convergent beam electron diffraction analysis. ECS Trans. 2006;2(2):549-558.
CrossRef
Google scholar
|
[95] |
Uesugi F, Hokazono A, Takeno S. Evaluation of twodimensional strain distribution by STEM/NBD. Ultramicroscopy. 2011;111(8):995-998.
CrossRef
Google scholar
|
[96] |
Karner S, Blank O, Röch M, Zalesak J, Keckes J, Gammer C. Nanobeam electron diffraction strain mapping in monocrystalline silicon of modern trench power MOSFETs. Microelectron Eng. 2022;264:111870.
CrossRef
Google scholar
|
[97] |
Cooper D, Béché A, Hartmann J, et al. Strain Measurement for the Semiconductor Industry with Nm-Scale Resolution by Dark Field Electron Holography and Nanobeam Electron Diffraction. IEEE International Interconnect Technology Conference;2011:1-3.
|
[98] |
Vincent R, Midgley PA. Double conical beam-rocking system for measurement of integrated electron diffraction intensities. Ultramicroscopy. 1994;53(3):271-282.
CrossRef
Google scholar
|
[99] |
Rouviere J-L, Béché A, Martin Y, Denneulin T, Cooper D. Improved strain precision with high spatial resolution using nanobeam precession electron diffraction. Appl Phys Lett. 2013;103(24):241913.
CrossRef
Google scholar
|
[100] |
Rouviere JL, Martin Y, Bernier N, Vigouroux M, Cooper D, Zuo J. Using electron diffraction techniques, CBED and NPED to measure strain with high precision and high spatial resolution. Microsc Microanal. 2015;21(S3):2209-2210.
CrossRef
Google scholar
|
[101] |
Béché A, Rouvière JL, Clément L, Hartmann JM. Improved precision in strain measurement using nanobeam electron diffraction. Appl Phys Lett. 2009;95(12):123114.
CrossRef
Google scholar
|
[102] |
Müller K, Rosenauer A, Schowalter M, Zweck J, Fritz R, Volz K. Strain measurement in semiconductor heterostructures by scanning transmission electron microscopy. Microsc Microanal. 2012;18(5):995-1009.
CrossRef
Google scholar
|
[103] |
Barraud S, Lapras V, Samson MP, et al. Vertically stackednanowires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drain. In: IEEE International Electron Devices Meeting;2016:17.6.1-17.6.4. https://doi.org/10.1109/IEDM.2016.7838441
|
[104] |
Portillo J, Rauch EF, Nicolopoulos S, Gemmi M, Bultreys D. Precession electron diffraction assisted orientation mapping in the transmission electron microscope. Mat Sci Forum. 2010;644:1-7.
CrossRef
Google scholar
|
[105] |
Viladot D, Véron M, Gemmi M, et al. Orientation and phase mapping in the transmission electron microscope using precession-assisted diffraction spot recognition: state-of-theart results. J Microsc. 2013;252(1):23-34.
CrossRef
Google scholar
|
[106] |
Ghamarian I, Liu Y, Samimi P, Collins PC. Development and application of a novel precession electron diffraction technique to quantify and map deformation structures in highly deformed materials—as applied to ultrafine-grained titanium. Acta Mater. 2014;79:203-215.
CrossRef
Google scholar
|
[107] |
Cooper D, Bernier N, Rouviere J-L, et al. High-precision deformation mapping in finFET transistors with two nanometre spatial resolution by precession electron diffraction. Appl Phys Lett. 2017;110(22).
CrossRef
Google scholar
|
[108] |
Cooper D, Denneulin T, Bernier N, Béché A, Rouvière JL. Strain mapping of semiconductor specimens with nm-scale resolution in a transmission electron microscope. Micron. 2016;80:145-165.
CrossRef
Google scholar
|
[109] |
Li J, Mochizuki S, Zhang J, Loubet N, Gaudiello J, Haran B. Precession electron diffraction (PED) strain measurements in stacked nanosheet structures. Microsc Microanal. 2019;25(S2):2018-2019.
CrossRef
Google scholar
|
[110] |
Reboh S, Coquand R, Barraud S, et al. Strain, stress, and mechanical relaxation in fin-patterned Si/SiGe multilayers for sub-7 nm nanosheet gate-all-around device technology. Appl Phys Lett. 2018;112(5).
CrossRef
Google scholar
|
[111] |
Mahr C, Müller-Caspary K, Grieb T, et al. Theoretical study of precision and accuracy of strain analysis by nano-beam electron diffraction. Ultramicroscopy. 2015;158:38-48.
CrossRef
Google scholar
|
[112] |
Cooper D, Barnes J-P, Hartmann J-M, Béché A, Rouviere JL. Dark field electron holography for quantitative strain measurements with nanometer-scale spatial resolution. Appl Phys Lett. 2009;95(5):053501.
CrossRef
Google scholar
|
[113] |
Hÿtch M, Houdellier F, Hüe F, Snoeck E. Nanoscale holographic interferometry for strain measurements in electronic devices. Nature. 2008;453(7198):1086-1089.
CrossRef
Google scholar
|
[114] |
Cooper D, Denneulin T, Barnes J-P, et al. Strain mapping with nm-scale resolution for the silicon-on-insulator generation of semiconductor devices by advanced electron microscopy. J Appl Phys. 2012;112(12):124505.
CrossRef
Google scholar
|
[115] |
McCartney MR, Agarwal N, Chung S, et al. Quantitative phase imaging of nanoscale electrostatic and magnetic fields using off-axis electron holography. Ultramicroscopy. 2010;110(5):375-382.
CrossRef
Google scholar
|
[116] |
Soussou A, Cassé M, Reimbold G, et al. In depth study of Ge impact on advanced SiGe PMOS transistors. Electrochem Soc Trans. 2014;64(8):61-68.
CrossRef
Google scholar
|
[117] |
Hytch M, Cherkashin N, Reboh S, Houdellier F, Claverie A. Strain mapping in layers and devices by electron holography. Phys Status Solidi. 2011;208(3):580-583.
CrossRef
Google scholar
|
[118] |
Cooper D, Rouviere JL. Strain measurement with nanometre resolution by transmission electron microscopy. Adv Mater Res. 2014;996:3-7.
CrossRef
Google scholar
|
[119] |
Hüe F, Hÿtch M, Houdellier F, Bender H, Claverie A. Strain mapping of tensiley strained silicon transistors with embedded Si1-yCy source and drain by dark-field holography. Appl Phys Lett. 2009;95(7):073103.
CrossRef
Google scholar
|
[120] |
Conzatti F, Serra N, Esseni D, et al. Investigation of strain engineering in FinFETs comprising experimental analysis and numerical simulations. IEEE Trans Electron Dev. 2011;58(6):1583-1593.
CrossRef
Google scholar
|
[121] |
Coquand R, Cassé M, Barraud S, et al. Strain-induced performance enhancement of trigate and omega-gate nanowire FETs scaled down to 10-nm width. IEEE Trans Electron Dev. 2013;60(2):727-732.
CrossRef
Google scholar
|
[122] |
Hÿtch MJ, Snoeck E, Kilaas R. Quantitative measurement of displacement and strain fields from HREM micrographs. Ultramicroscopy. 1998;74(3):131-146.
CrossRef
Google scholar
|
[123] |
Li J, Reboh S, Chao R, et al. Nanobeam diffraction and geometric phase analysis for strain measurements in Si/SiGe nanosheet structures. Microsc Microanal. 2016;22(S3):1528-1529.
CrossRef
Google scholar
|
[124] |
Glowacki F, Royer CL, Morand Y, et al. Ultrathin (5 nm) SiGe-On-Insulator with high compressive strain (−2 GPa): from fabrication (Ge enrichment process) to in-depth characterizations. Solid State Electron. 2014;97:82-87.
CrossRef
Google scholar
|
[125] |
Hÿtch MJ, Putaux J-L, Pénisson J-M. Measurement of the displacement field of dislocations to 0.03 Å by electron microscopy. Nature. 2003;423(6937):270-273.
CrossRef
Google scholar
|
[126] |
Urban KW. Studying atomic structures by aberrationcorrected transmission electron microscopy. Science. 2008;321(5888):506-510.
CrossRef
Google scholar
|
[127] |
Taraci JL, Hÿtch MJ, Clement T, et al. Strain mapping in nanowires. Nanotechnology. 2005;16(10):2365-2371.
CrossRef
Google scholar
|
[128] |
ÿtch MJ, Putaux J-L, Pénisson J-M. Nanoscale measurement of stress and strain by quantitative high-resolution electron microscopy. Mat. Sci. Forum. 2005;482:39-44.
CrossRef
Google scholar
|
[129] |
Hüe F, Hÿtch M, Bender H, Hartmann JM, Claverie A. Strain measurements in s-Si/SiGe nanostructures by quantitative high-resolution electron microscopy. MRS Proc. 2007;1026(1):1026-C20-04. https://doi.org/10.1557/proc-1026-c20-04
|
[130] |
Chung J, Rabenberg L. Effects of strain gradients on strain measurements using geometrical phase analysis in the transmission electron microscope. Ultramicroscopy. 2008;108(12):1595-1602.
CrossRef
Google scholar
|
[131] |
Reboh S, Coquand R, Loubet N, et al. Stress and strain evolution in stacked gate-all-around transistors for sub-7nm node studied by advanced transmission electron microscopy techniques and finite element method modelling. Electrochemical Society Meeting Abstracts 233. Vol 22. The Electrochemical Society, Inc;2018:1370-1370.
|
[132] |
Hoang VV, Trinh VT. 2D strain mapping in sub-10nm SiGe layer with high-resolution transmission electron microscopy and geometric phase analysis. Nano Hybrids Compos. 2022;37:41-47.
CrossRef
Google scholar
|
[133] |
Mochizuki S, Li J, Stuckert E, et al. Compressive strained Si1-xGex channel for high performance gate-all-around nanosheet transistors. Electrochemical Society Meeting Abstracts 242 2022:Vol 32;1192-1192.The Electrochemical Society, Inc.
|
[134] |
Cooper D, Bernier N, Rouviere JL. Deformation Mapping in a TEM: Dark Field Electron Holography, Nanobeam Electron Diffraction, Precession Electron Diffraction and GPA Compared. European Microscopy Congress;2016:627-628.
|
[135] |
Li J, Zhao C, Xing Y, et al. Full-field strain mapping at a Ge/Si heterostructure interface. Materials. 2013;6(6):2130-2142.
CrossRef
Google scholar
|
[136] |
Dash TP, Dey S, Das S, et al. Performance comparison of strained-SiGe and bulk-Si channel FinFETs at 7 nm technology node. J Micromech Microeng. 2019;29(10):104001.
CrossRef
Google scholar
|
[137] |
Gómez-de-Mariscal E, Maška M, Kotrbová A, et al. Deeplearning-based segmentation of small extracellular vesicles in transmission electron microscopy images. Sci Rep. 2019;9(1):13211.
CrossRef
Google scholar
|
[138] |
Lee S, Im J, Yoo Y, et al. Reversible cyclic deformation mechanism of gold nanowires by twinning-detwinning transition evidenced from in situ TEM. Nat Commun. 2014;5(1):3033.
CrossRef
Google scholar
|
[139] |
Taheri ML, Stach EA, Arslan I, et al. Current status and future directions for in situ transmission electron microscopy. Ultramicroscopy. 2016;170:86-95.
CrossRef
Google scholar
|
[140] |
Li X, Sun M, Shan C, et al. Mechanical properties of 2D materials studied by in situ microscopy techniques. Adv Mater Interfac. 2018;5(5).
CrossRef
Google scholar
|
[141] |
Goris B, Beenhouwer JD, Backer AD, et al. Measuring lattice strain in three dimensions through electron microscopy. Nano Lett. 2015;15(10):6996-7001.
CrossRef
Google scholar
|
[142] |
Wen H, Zhang H, Peng R, et al. 3D strain measurement of heterostructures using the scanning transmission electron microscopy moiré depth sectioning method. Small Methods. 2023;7(9):e2300107.
CrossRef
Google scholar
|
/
〈 | 〉 |